Счетчик (цифровой) - Counter (digital)

Напряжение изменяется на 5 выходах двоичного счетчика отсчета от 00000, слева до 11111 (или 31), справа (по вертикали).

В цифровой логики и вычислений , А счетчик представляет собой устройство , которое хранит (а иногда и дисплеи) количество раз конкретное событие или процесс имеет место, часто в отношениях к часам . Самый распространенный тип - это последовательная цифровая логическая схема с входной линией, называемой часами, и несколькими выходными линиями. Значения в выходных строках представляют собой числа в двоичной или двоично-десятичной системе счисления. Каждый импульс, подаваемый на вход часов, увеличивает или уменьшает число в счетчике.

Схема счетчика обычно состоит из нескольких триггеров, соединенных каскадом. Счетчики - очень широко используемый компонент в цифровых схемах , они производятся как отдельные интегральные схемы, а также включаются в состав более крупных интегральных схем.

Электронные счетчики

Электронный счетчиком является последовательной логической схемой , которая имеет входной сигнал тактовой частоты и группу выходных сигналов , которые представляют собой целое «отсчитывает» значение. После каждого квалифицированного фронта тактового сигнала схема будет увеличивать (или уменьшать, в зависимости от конструкции схемы) счетчики. Когда счетчики достигли конца счетной последовательности (максимальное количество счетчиков при увеличении; ноль счетах при уменьшении), следующие часы вызовут переполнение или недостаточное заполнение счетчиков, и последовательность счета начнется заново. Внутри счетчики используют триггеры для представления текущих счетчиков и для удержания счетчиков между часами. В зависимости от типа счетчика выход может быть прямым представлением счетчиков (двоичное число) или может быть закодирован. Примеры последних включают счетчики звонков и счетчики, которые выводят коды Грея.

Многие счетчики предоставляют дополнительные входные сигналы для облегчения динамического управления последовательностью счета, например:

  • Сброс - устанавливает счетчик на ноль. Некоторые производители ИС называют это «сбросом» или «общим сбросом» (MR).
  • Включить - разрешает или запрещает подсчет.
  • Направление - определяет, будет ли счетчик увеличиваться или уменьшаться.
  • Данные - данные параллельного ввода, которые представляют собой определенное значение счетчика.
  • Загрузить - копирует данные параллельного ввода в счетчики.

Некоторые счетчики предоставляют выходной сигнал Terminal Count, который указывает, что следующие часы вызовут переполнение или недостаточное заполнение. Это обычно используется для реализации каскадирования счетчиков (объединения двух или более счетчиков для создания единого, большего счетчика) путем подключения выхода Terminal Count одного счетчика к входу Enable следующего счетчика.

Модуль счетчика - это количество состояний в его счетной последовательности. Максимально возможный модуль определяется количеством триггеров. Например, четырехбитный счетчик может иметь модуль до 16 (2 ^ 4).

Счетчики обычно классифицируются как синхронные или асинхронные. В синхронных счетчиках все триггеры используют общие часы и меняют состояние одновременно. В асинхронных счетчиках каждый триггер имеет уникальные часы, и состояния триггера меняются в разное время.

Синхронные счетчики классифицируются по-разному. Например:

  • Счетчик модуля - считает через определенное количество состояний.
  • Счетчик декады - счетчик модуля 10 (считает до десяти состояний).
  • Счетчик вверх / вниз - считает как вверх, так и вниз в соответствии с управляющим входом.
  • Кольцевой счетчик - образован «круговым» регистром сдвига .
  • Счетчик Джонсона - счетчик витого кольца.
  • Счетчик кода Грея - выводит последовательность кодов Грея.

Счетчики реализуются различными способами, в том числе как специализированные интегральные схемы MSI и LSI , как встроенные счетчики в ASIC , как универсальные счетчики и периферийные устройства таймера в микроконтроллерах и как IP-блоки в FPGA .

Асинхронный (пульсирующий) счетчик

Асинхронный счетчик, созданный из двух триггеров JK

Асинхронный (пульсирующий) счетчик представляет собой «цепочку» переключаемых (T) триггеров, в которой наименее значимый триггер (бит 0) синхронизируется внешним сигналом (входными часами счетчика), а все остальные триггеры - синхронизируется выходом ближайшего, менее значимого триггера (например, бит 0 синхронизирует триггер бита 1, бит 1 синхронизирует триггер бита 2 и т. д.). Первый триггер синхронизируется по нарастающим фронтам; все остальные триггеры в цепочке синхронизируются по падающим краям часов. Каждый триггер вводит задержку от фронта тактового сигнала до переключателя вывода, таким образом заставляя биты счетчика изменяться в разное время и создавая эффект пульсации при распространении тактового сигнала на входе по цепочке. При реализации с дискретными триггерами счетчики пульсаций обычно реализуются с помощью триггеров JK , при этом каждый триггер настроен на переключение при синхронизации (т. Е. J и K оба подключены к высокому логическому уровню).

В простейшем случае однобитный счетчик состоит из одного триггера. Этот счетчик будет увеличиваться (путем переключения своего выхода) один раз за такт и будет считать от нуля до единицы перед переполнением (начиная с нуля). Каждое состояние выхода соответствует двум тактовым циклам, и, следовательно, выходная частота триггера составляет ровно половину частоты входных тактовых импульсов. Если этот выход затем используется в качестве тактового сигнала для второго триггера, пара триггеров образует двухбитный счетчик пульсаций со следующей последовательностью состояний:

Цикл часов Q1 Q0 (Q1: Q0) десятичный
0 0 0 0
1 0 1 1
2 1 0 2
3 1 1 3
4 0 0 0

Дополнительные триггеры могут быть добавлены к цепочке для формирования счетчиков любого произвольного размера слова с выходной частотой каждого бита, равной ровно половине частоты ближайшего, менее значимого бита.

Счетчики пульсаций демонстрируют нестабильные выходные состояния, пока входной синхросигнал распространяется по цепи. Продолжительность этой нестабильности (время установления выхода) пропорциональна количеству триггеров. Это делает счетчики пульсаций непригодными для использования в синхронных схемах , где требуется, чтобы счетчик имел быстрое время установления выхода. Кроме того, часто непрактично использовать выходные биты счетчика пульсаций в качестве тактовых сигналов для внешних схем, поскольку эффект пульсаций вызывает временной сдвиг между битами. Счетчики пульсаций обычно используются в качестве счетчиков общего назначения и делителей тактовой частоты в приложениях, где мгновенный счетчик и временной сдвиг не важны.

Синхронный счетчик

4-битный синхронный счетчик с использованием триггеров JK

В синхронном счетчике тактовые входы триггеров соединены вместе, и все триггеры одновременно запускаются общими часами. Следовательно, все триггеры меняют состояние одновременно (параллельно).

Например, схема, показанная справа, представляет собой четырехбитный синхронный счетчик с восходящим (восходящим) счетчиком, реализованный с помощью триггеров JK. Каждый бит этого счетчика может переключаться, когда все менее значимые биты находятся в высоком логическом состоянии. По нарастающему фронту тактового сигнала бит 1 переключается, если бит 0 имеет высокий логический уровень; бит 2 переключается, если биты 0 и 1 имеют высокий уровень; бит 3 переключается, если все биты 2, 1 и 0 имеют высокий уровень.

Счетчик декады

Схема декадного счетчика с использованием триггеров JK (74LS112D)

Десятилетний счетчик считается десятичным, а не двоичным. Десятилетний счетчик может иметь каждое (то есть он может считать в двоично-десятичном виде , как это делала интегральная схема 7490 ) или другие двоичные кодировки. Десятичный счетчик - это двоичный счетчик, рассчитанный на 1001 (десятичное 9). Обычный четырехступенчатый счетчик можно легко превратить в десятичный, добавив логический элемент И-НЕ, как показано на схеме справа. Обратите внимание, что FF2 и FF4 предоставляют входы для логического элемента И-НЕ. Выходы логического элемента И-НЕ подключены к входу CLR каждого из FF. ". Он ведет счет от 0 до 9, а затем сбрасывается на ноль. Выход счетчика может быть установлен на ноль путем импульсного низкого уровня линии сброса. Затем счет увеличивается на каждый тактовый импульс до тех пор, пока он не достигнет 1001 (десятичное 9). Когда он увеличивается до 1010 (десятичный 10), оба входа логического элемента И-НЕ переходят в высокий уровень. Результатом является то, что выход И-НЕ становится низким и сбрасывает счетчик на ноль. D становится низким. может быть сигналом CARRY OUT, указывающим на то, что было отсчитано десять.

Счетчик звонков

Кольцевой счетчик - это регистр циклического сдвига, который запускается таким образом, что только один из его триггеров является единичным состоянием, в то время как другие находятся в своих нулевых состояниях.

Кольцевой счетчик - это сдвиговый регистр (каскадное соединение триггеров ), выход последнего которого соединен со входом первого, то есть в кольцо. Обычно циркулирует шаблон, состоящий из одного бита, поэтому состояние повторяется каждые n тактов, если используется n триггеров.

Счетчик Джонсона

Джонсон счетчик (или переключатель хвост кольцевой счетчик , витая кольцевой счетчик , ходьба кольцевой счетчик , или счетчик Мёбиусово ) представляет собой модифицированный кольцевой счетчик, когда выходной сигнал от последней ступени инвертируется и подается обратно в качестве входных данных на первом этапе. Регистр циклически перебирает последовательность битовых комбинаций, длина которой равна удвоенной длине регистра сдвига, продолжаясь бесконечно. Эти счетчики находят специальные применения, в том числе такие, как декадный счетчик, цифро-аналоговое преобразование и т. Д. Их можно легко реализовать с помощью триггеров типа D или JK.

Счетчики информатики

В теории вычислимости , А счетчик считается типом памяти. Счетчик хранит одно натуральное число (изначально нулевое ) и может быть сколь угодно длинным. Счетчик обычно рассматривается вместе с конечным автоматом (FSM), который может выполнять следующие операции со счетчиком:

  • Проверить, равен ли счетчик нулю
  • Увеличьте счетчик на единицу.
  • Уменьшите счетчик на единицу (если он уже равен нулю, он не изменится).

Следующие машины перечислены в порядке мощности, каждая из которых строго более мощная, чем та, что находится под ней:

  1. Детерминированный или недетерминированный автомат плюс два счетчика
  2. Недетерминированный автомат плюс один стек
  3. Недетерминированный автомат плюс один счетчик
  4. Детерминированный автомат плюс один счетчик
  5. Детерминированный или недетерминированный автомат.

Для первого и последнего не имеет значения, является ли конечный автомат детерминированным конечным автоматом или недетерминированным конечным автоматом . У них одинаковая сила. Первые два и последний - уровни иерархии Хомского .

Первая машина, автомат плюс два счетчика, по мощности эквивалентна машине Тьюринга . См. Статью о счетных машинах для доказательства.

Веб-счетчик

Веб - счетчик или хит счетчиком является компьютерной программой , которая показывает количество посетителей, или показов, конкретный веб - страница получила. После настройки эти счетчики будут увеличиваться на единицу при каждом доступе к веб-странице в веб-браузере .

Число обычно отображается в виде встроенного цифрового изображения или в виде обычного текста или на физическом счетчике, таком как механический счетчик . Изображения могут быть представлены различными шрифтами или стилями; классический пример - колеса одометра .

Веб-счетчик был популярен в середине-конце 1990-х и начале 2000-х годов, позже его заменили более подробные и полные показатели веб-трафика .

Компьютерные счетчики

Многие системы автоматизации используют ПК и ноутбуки для мониторинга различных параметров машин и производственных данных. Счетчики могут подсчитывать такие параметры, как количество произведенных изделий, номер партии продукции и измерения количества использованного материала.

Механические счетчики

Задолго до того, как электроника стала обычным явлением, для подсчета событий использовались механические устройства. Они известны как счетчики . Обычно они состоят из серии дисков, установленных на оси, с цифрами от нуля до девяти, нанесенными на их края. Крайний правый диск перемещается на один шаг при каждом событии. Каждый диск, кроме самого левого, имеет выступ, который после завершения одного оборота перемещает следующий диск влево на один шаг. Такие счетчики использовались в качестве одометров для велосипедов и автомобилей, а также в магнитофонах , топливораздаточных колонках , в производственном оборудовании, а также в другом оборудовании. Одним из крупнейших производителей была компания Veeder-Root, и их название часто использовалось для этого типа счетчиков.

Переносные счетчики используются в основном для инвентаризации и подсчета людей, посещающих мероприятия.

Электромеханические счетчики использовались для накопления итогов в счетных машинах, которые стали пионерами в индустрии обработки данных.

Смотрите также

использованная литература

  1. ^ "Десятилетний счетчик" . Комплексное издательское дело . Дата обращения 19 мар 2020 .
  2. ^ Сингх, Арун Кумар (2006). Основы цифровых принципов проектирования схем и приложений . Издатели Нью Эйдж. ISBN 81-224-1759-0.
  3. ^ Горовиц, Пол; Хилл, Уинфилд (1989). Искусство электроники . Издательство Кембриджского университета. ISBN 0-521-37095-7.
  4. Перейти ↑ Graf, Rudolf F (1999). Современный словарь электроники . Newnes. ISBN 0-7506-9866-7.
  5. ^ История VR , Veeder.

внешние ссылки